From f56a1ad28a7491e58d2825c6cc6f0b6957d36d38 Mon Sep 17 00:00:00 2001 From: Colin Okay Date: Tue, 16 Aug 2022 08:56:06 -0500 Subject: initial commit --- package.lisp | 4 ++++ pixelrig.asd | 11 +++++++++++ pixelrig.lisp | 3 +++ 3 files changed, 18 insertions(+) create mode 100644 package.lisp create mode 100644 pixelrig.asd create mode 100644 pixelrig.lisp diff --git a/package.lisp b/package.lisp new file mode 100644 index 0000000..c52e797 --- /dev/null +++ b/package.lisp @@ -0,0 +1,4 @@ +;;;; package.lisp + +(defpackage #:pixelrig + (:use #:cl)) diff --git a/pixelrig.asd b/pixelrig.asd new file mode 100644 index 0000000..3bf2d16 --- /dev/null +++ b/pixelrig.asd @@ -0,0 +1,11 @@ +;;;; pixelrig.asd + +(asdf:defsystem #:pixelrig + :description "Describe pixelrig here" + :author "Your Name " + :license "Specify license here" + :version "0.0.1" + :serial t + :depends-on (#:wheelwork) + :components ((:file "package") + (:file "pixelrig"))) diff --git a/pixelrig.lisp b/pixelrig.lisp new file mode 100644 index 0000000..bf11a18 --- /dev/null +++ b/pixelrig.lisp @@ -0,0 +1,3 @@ +;;;; pixelrig.lisp + +(in-package #:pixelrig) -- cgit v1.2.3